Search results

1 – 10 of 31
Open Access
Article
Publication date: 23 February 2022

Dharen Kumar Pandey, Vineeta Kumari and Brajesh Kumar Tiwari

The authors examine the impacts of corporate announcements on stock returns during the pandemic stress.

5557

Abstract

Purpose

The authors examine the impacts of corporate announcements on stock returns during the pandemic stress.

Design/methodology/approach

The authors employ the event study methodology with the market model on a sample of 90 events (announcement and ex-date).

Findings

The authors find that all the corporate announcements do not impact the stock returns in a similar pattern. While the bonus announcement, ex-bonus and ex-split events led to positive significant abnormal returns on the event date, the rights issue and stock-split announcements failed to influence the stock returns. The findings suggest that before making such announcements, the corporates should wait until the market recovers because even the positively impacting events result in negative market responses during pandemic stress.

Practical implications

This study will guide the policymakers to stimulate share prices during such pandemics with the help of various corporate announcements. The investors will be assisted in understanding the stock market mechanism and making wise decisions before reacting to corporate actions during a pandemic or emergency period. While the policymakers are concerned with influencing the share prices, the investors are concerned with the composition of the risk-return parameters in their portfolio. This study will act as an essential investment tool for both.

Originality/value

To the best of the authors’ knowledge, the authors conduct the first-ever study to examine the impacts of corporate announcements during a pandemic stress period that significantly contributes to the literature. The authors examine the announcement effects in India and accurately anticipate that this study will be a pioneer in this field. This study also paves the way for future researches in this area.

Details

Asian Journal of Accounting Research, vol. 7 no. 2
Type: Research Article
ISSN: 2443-4175

Keywords

Article
Publication date: 5 October 2022

Brajesh Kumar Singh and Awadhesh Kumar

The rotorcraft technology is very interesting area since last few decades due to variety of applications. One of the rotorcrafts is the quadrotor unmanned aerial vehicle (QUAV)…

Abstract

Purpose

The rotorcraft technology is very interesting area since last few decades due to variety of applications. One of the rotorcrafts is the quadrotor unmanned aerial vehicle (QUAV), which contains four rotors mounted on an airframe with an onboard controller. The QUAV is a highly nonlinear system and underactuated. Its controller design is very challenging task, and the need of controller is to make it autonomous based on mission planning. The purpose of this study is to design a controller for quadrotor UAV for attitude stabilization and trajectory tracking problem in presence of external environmental disturbances such as wind gust.

Design/methodology/approach

To address this problem, the model predictive control has been designed for attitude control and feedback linearization control for the position control using the linear parameter varying (LPV) approach. The trajectory tracking problem has been addressed using the circular trajectory and helical trajectory.

Findings

The simulation results show the efficient performance with good trajectory tracking even in presence of external disturbances in both the scenarios considered, one for circular trajectory tracking and other for helical trajectory tracking.

Originality/value

The novelty of the work came from using the LPV approach in controller design, which increases the robustness of the controller in presence of external disturbances.

Details

Aircraft Engineering and Aerospace Technology, vol. 95 no. 4
Type: Research Article
ISSN: 1748-8842

Keywords

Article
Publication date: 2 April 2024

Sonal Ahuja and Brajesh Kumar

Millennials are a vital generational cohort of the Indian population, and understanding their motivation to participate in the stock market is crucial. This study aims to…

Abstract

Purpose

Millennials are a vital generational cohort of the Indian population, and understanding their motivation to participate in the stock market is crucial. This study aims to understand the investment decision-making behavior among millennials in the Indian Stock Market.

Design/methodology/approach

Using a cross-sectional research design that entails in-depth personal interviews, this study aims to understand the equity investment behavior of millennials. Verbatim texts from interview transcripts were used to analyze the content and arrive at themes.

Findings

The study investigated the motivation to enter the stock market and gained insights into how individuals make equity investment decisions considering economic and behavioral dimensions. The basis for stock selection was predominantly on the self-analysis of investors. Multiple stock selection priorities are also discussed. In addition, informants ensured asset diversification and exercised various strategies to overcome emotions. Furthermore, they suffered from various behavioral biases.

Practical implications

Individual investors are the least informed and most impacted stakeholders in the stock markets; therefore, this study contributes fresh insights to enhance their financial security. The paper also examines some noticeable behavioral tendencies retail investors exhibit and gathers helpful strategies for mitigating behavioral biases.

Originality/value

The uniqueness of the research lies in its adoption of a qualitative methodology that uses the investment experience of millennial investors to reveal the components of decision-making behavior and investor psychology. The findings are thereby unique and have significant managerial implications.

Details

Qualitative Research in Financial Markets, vol. ahead-of-print no. ahead-of-print
Type: Research Article
ISSN: 1755-4179

Keywords

Article
Publication date: 16 October 2019

Piyush Tankwal, Vikas Nehra, Sanjay Prajapati and Brajesh Kumar Kaushik

The purpose of this paper is to analyze and compare the characteristics of hybrid conventional complementary metal oxide semiconductor/magnetic tunnel junction (CMOS/MTJ) logic…

163

Abstract

Purpose

The purpose of this paper is to analyze and compare the characteristics of hybrid conventional complementary metal oxide semiconductor/magnetic tunnel junction (CMOS/MTJ) logic gates based on spin transfer torque (STT) and differential spin Hall effect (DSHE) magnetic random access memory (MRAM).

Design/methodology/approach

Spintronics technology can be used as an alternative to CMOS technology as it is having comparatively low power dissipation, non-volatility, high density and high endurance. MTJ is the basic spin based device that stores data in form of electron spin instead of charge. Two mechanisms, namely, STT and SHE, are used to switch the magnetization of MTJ.

Findings

It is observed that the power consumption in DSHE based logic gates is 95.6% less than the STT based gates. DSHE-based write circuit consumes only 5.28 fJ energy per bit.

Originality/value

This paper describes how the DSHE-MRAM is more effective for implementing logic circuits in comparison to STT-MRAM.

Article
Publication date: 22 March 2013

Yograj Singh Duksh, Brajesh Kumar Kaushik, Sankar Sarkar and Raghuvir Singh

The purpose of this paper is to analyze the effect of driver size and number of shells on propagation delay and power for multi‐walled carbon nanotubes (MWCNT) interconnects at…

Abstract

Purpose

The purpose of this paper is to analyze the effect of driver size and number of shells on propagation delay and power for multi‐walled carbon nanotubes (MWCNT) interconnects at 22 nm technology node.

Design/methodology/approach

An equivalent circuit model of MWCNT is used for estimation and analysis of propagation delay and power. The delay and power through MWCNT and Cu interconnects are compared for various driver sizes and number of MWCNT shells.

Findings

The SPICE simulation results show that the MWCNT interconnect has lower propagation delay than Cu interconnects. The delay ratio of MWCNT to Cu decreases with increase in length for different driver size and number of MWCNT shells. However, the delay ratio increases with reduction in number of MWCNT shells. The ratio of average power consumption (MWCNT/Cu) also decreases with the variation in driver size and numbers of shells with respect to the length of interconnect. The theoretical study proves CNTs to be better alternatives against copper on the ground of performance parameters.

Research limitations/implications

Several challenges remain to be overcome in the areas of fabrication and process integration for CNTs. Lowering of metal nanotube contact resistance would be vital, especially for local interconnect and via applications. Moreover, rigorous characterization and modeling of electromagnetic interactions in CNT bundles; 3‐D (metal) to 1‐D (CNT) contact resistance; impact of defects on electrical and thermal properties; and high‐frequency effects are being seen as additional challenges.

Originality/value

This paper investigates, assesses and compares the performance of carbon nanotubes (CNT) based interconnects as prospective alternatives to copper wire interconnects in future VLSI chips. Multi walled CNTs assure for long/global interconnect applications.

Details

Journal of Engineering, Design and Technology, vol. 11 no. 1
Type: Research Article
ISSN: 1726-0531

Keywords

Article
Publication date: 1 July 2014

Devendra Kumar Sharma, Brajesh Kumar Kaushik and R.K. Sharma

– The purpose of this paper is to propose an analytical model for estimating propagation delay in coupled resistance-inductance-capacitance (RLC) interconnects.

Abstract

Purpose

The purpose of this paper is to propose an analytical model for estimating propagation delay in coupled resistance-inductance-capacitance (RLC) interconnects.

Design/methodology/approach

With higher frequency of operation, longer length of interconnect and fast transition time of the signal, the resistor capacitor (RC) models are not sufficient to estimate the delay accurately. To mitigate this problem, accurate delay models for coupled interconnects are required. In this paper, an analytical model for estimation of interconnect delay is developed for simultaneously switching lines. Two distributed RLC lines coupled inductively and capacitively are considered. To validate the proposed model, SPICE results are compared with the proposed analytical results. Each line in the coupled structure is terminated by a capacitive load of 30fF. The driving signal is considered symmetrical with equal rise and fall time of 5 ps and OFF/ON time of 45 ps. The model is validated for both in-phase and out of phase switching of lines.

Findings

It is observed that the model works well for both the phases of inputs switching. The derived expressions of delay exhibit complete physical insight, and the results obtained are in excellent agreement with SPICE results. Comparison of analytical delay with SPICE delay shows an average error of < 2.7 per cent.

Originality/value

The analytical expressions for interconnect delay are derived for the first time under simultaneously switching scenario. This model is useful to estimate delay across the inductively and capacitively coupled interconnects.

Details

Journal of Engineering, Design and Technology, vol. 12 no. 3
Type: Research Article
ISSN: 1726-0531

Keywords

Article
Publication date: 30 September 2014

Devendra Kumar Sharma, Brajesh Kumar Kaushik and R.K. Sharma

The purpose of this research paper is to analyze the combined effects of driver size and coupling parasitics on crosstalk noise and delay for static and dynamically switching…

Abstract

Purpose

The purpose of this research paper is to analyze the combined effects of driver size and coupling parasitics on crosstalk noise and delay for static and dynamically switching victim line. Furthermore, this paper shows the effect of inductance on delay and qualitatively optimizes its value to obtain minimum delay.

Design/methodology/approach

The interwire parasitics are the primary sources of crosstalk or coupled noise that may lead to critical delays/logic malfunctions. This paper is based on simulating a pair of distributed resistance inductance capacitance (RLC) interconnects coupled capacitively and inductively for measurements of crosstalk noise/delay. The combined effects of driver sizing and interwire parasitics on peak overshoot noise/delay are observed through simulation program with integrated circuit emphasis (SPICE) simulations for different switching patterns. Furthermore, the analysis of inductive effect on propagation delay as a function of coupling capacitance is carried out and the optimization of delay is worked out qualitatively. The simulations are carried out at 0.13 μm, 1.5 V technology node.

Findings

This paper observes the contradictory effects of coupling parasitics on wire propagation delay; however, the effect on peak noise is of a different kind. Further, this paper shows that the driver size exhibits opposite kind of behavior on propagation delay than peak over shoot noise. It is observed that the delay is affected in presence of inductance; thus, the optimization of delay is carried out.

Originality/value

The effects of driver sizing and interwire parasitics are analyzed through simulations. The optimum value of coupling capacitance for delay is found qualitatively. These findings are important for designing very large scale integration (VLSI) interconnects.

Details

Journal of Engineering, Design and Technology, vol. 12 no. 4
Type: Research Article
ISSN: 1726-0531

Keywords

Article
Publication date: 31 May 2013

Brajesh Kumar and Ajay Pandey

In this paper, the authors aim to investigate the short‐run as well as long‐run market efficiency of Indian commodity futures markets using different asset pricing models. Four…

1804

Abstract

Purpose

In this paper, the authors aim to investigate the short‐run as well as long‐run market efficiency of Indian commodity futures markets using different asset pricing models. Four agricultural (soybean, corn, castor seed and guar seed) and seven non‐agricultural (gold, silver, aluminium, copper, zinc, crude oil and natural gas) commodities have been tested for market efficiency and unbiasedness.

Design/methodology/approach

The long‐run market efficiency and unbiasedness is tested using Johansen cointegration procedure while allowing for constant risk premium. Short‐run price dynamics is investigated with constant and time varying risk premium. Short‐run price dynamics with constant risk premium is modeled with ECM model and short‐run price dynamics with time varying risk premium is modeled using ECM‐GARCH in‐Mean framework.

Findings

As far as long‐run efficiency is concerned, the authors find that near month futures prices of most of the commodities are cointegrated with the spot prices. The cointegration relationship is not found for the next to near months futures contracts, where futures trading volume is low. The authors find support for the hypothesis that thinly traded contracts fail to forecast future spot prices and are inefficient. The unbiasedness hypothesis is rejected for most of the commodities. It is also found that for all commodities, some inefficiency exists in the short run. The authors do not find support of time varying risk premium in Indian commodity market context.

Originality/value

In context of Indian commodity futures markets, probably this is the first study which explores the short‐run market efficiency of futures markets in time varying risk premium framework. This paper also links trading activity of Indian commodity futures markets with market efficiency.

Details

Journal of Indian Business Research, vol. 5 no. 2
Type: Research Article
ISSN: 1755-4195

Keywords

Article
Publication date: 1 September 2006

Brajesh Kumar Kaushik, Sankar Sarkar, R.P. Agarwal and R.C. Joshi

To analyze factors affecting crosstalk and to study the effect of repeater insertion on crosstalk, power dissipation and propagation delay.

Abstract

Purpose

To analyze factors affecting crosstalk and to study the effect of repeater insertion on crosstalk, power dissipation and propagation delay.

Design/methodology/approach

Crosstalk is effected by transition time of the signal; length of interconnect; distance between interconnects; size of driver and receiver; pattern of input; direction of flow of signal; and clock skew. This work is based on simulating interconnects with parameters obtained from 0.13 μm process. The types of noise addressed are overshoot; undershoot and oscillatory noise. Further, to study the effect of repeater insertion on crosstalk, repeaters are inserted in one line, i.e. line A only. Uniform repeaters varying in number from 1 to 60 are each of size Wn=3.9 μm and Wp=7.8 μm. Both lines A and B are terminated by a capacitive load of 5 fF. A crosstalk noise effect is measured for line A loaded with repeaters. The number of repeater is varied for four different cases of stimulations to both lines viz. input to line A, i.e. VA switching from low to high; input to line B, i.e. VB switching from low to high; input to line A i.e VA switching from low to high; input to line B, i.e. VB switching from high to low; VA switching from high to low and VB at static low; VA switching from high to low and VB at static high.

Findings

This paper shows the prominent factors such as edge rate, length and pattern of inputs affecting the noise. It is observed that presence of inductive effects can seriously hamper the functioning of the chip. This paper further reveals that repeater insertion not only reduces the propagation delay but also crosstalk levels for coupled lines. Repeaters can be efficiently utilized for reduction of propagation delay and crosstalk noise at a trade of marginal increase in power dissipation. The power‐delay‐crosstalk‐product (PDCP) criterion is introduced as an efficient technique to insert repeater in coupled interconnects. Based on PDCP a reduction in crosstalk of about 60 times and delay of 4.2 percent is achieved at trade of 13.2 percent increase in power dissipation in comparison to PDP.

Originality/value

The PDCP criterion is introduced as an efficient technique to insert repeater in coupled interconnects. Instead of PDP criterion, PDCP criterion is best suited for determination of optimum number of repeaters for overall minimization of delay, power and crosstalk.

Details

Microelectronics International, vol. 23 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

Article
Publication date: 31 July 2007

Brajesh Kumar Kaushik, Sankar Sarkar, R.P. Agarwal and R.C. Joshi

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Abstract

Purpose

This paper proposes to study the effect of line resistance and driver width on crosstalk noise for a CMOS gate driven inductively and capacitively coupled VLSI interconnects.

Design/methodology/approach

The paper considers a distributed RLC interconnect topology. The interconnect length is 4 mm and far‐end capacitive loading is 30 fF. The SPICE simulation set‐up uses an IBM 0.13 μm, 1.2 V technology model. The input falling ramp has a transition time of 50 ps. The victim line is grounded through a driver resistance of 50 Ω at near end of interconnect. While observing the effect of line resistance, the aggressor driver has PMOS and NMOS widths of 70 and 30 μm, respectively, and the line resistance is varied from 0 to 500 Ω. For capturing the effect of driver width, SPICE waveforms are generated at far end of victim line for three different line resistances (R=0, 30, and 60 Ω respectively). In each case, the aggressor PMOS driver width is swept from 20 to 100 μm. The corresponding NMOS width is half of PMOS width.

Findings

It is observed that, as line resistance increases, the noise peak reduces. This is due to the fact that with increasing resistance the incident and reflected waves traveling along the line experience increasing attenuation. Hence, the waves arriving at the far‐end of the line are of smaller magnitude and larger time durations. This causes noise pulses in the lossy lines to be smaller and wider compared with those in a lossless line. The effect of driver width on noise waveforms is further observed. It is observed that, as the PMOS (and corresponding NMOS) driver width is increased, the victim line gets more prone to crosstalk noise. The crosstalk magnitude level increases alarmingly as driver width is increased, because the driver resistance decreases, which in turn increases the current driving capability of driver.

Originality/value

While designing coupled interconnects, driver width and line resistance play an important role in deciding the crosstalk level. An interconnect designer often increases driver width and reduces line resistance for achieving lower propagation delays. This effort may result in higher crosstalk noise in coupled interconnect. Therefore, a designer should be concerned simultaneously for crosstalk noise while reducing delays.

Details

Microelectronics International, vol. 24 no. 3
Type: Research Article
ISSN: 1356-5362

Keywords

1 – 10 of 31